ISSCC 2007 / SESSION 18 / SRAM / 18 . 4 18 . 4 A 65 nm 8 T Sub - Vt SRAM Employing Sense - Amplifier Redundancy

نویسندگان

  • Naveen Verma
  • Anantha P. Chandrakasan
چکیده

The subthreshold regime is a critical biasing space as it enables minimum energy operation for logic circuits [1]. However, practical systems rely heavily on SRAMs, which conventionally limit the minimum VDD to above Vt. SRAMs often dominate the total die area and power, and minimizing their energy requires scaling VDD as low as possible. In this work, a 256kb SRAM in 65nm CMOS is presented that operates in sub-Vt (at 350mV) despite the exponential effect Vt variations have on device strength.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Ultra Low-Power Fault-Tolerant SRAM Design in 90nm CMOS Technology

.................................................................................................................................. iii TABLE OF CONTENTS ............................................................................................................... iv LIST OF FIGURES ....................................................................................................................

متن کامل

A 65nm Sub-Vt Microcontroller with Integrated SRAM and Switched-Capacitor DC-DC Converter

Sub-threshold operation has proven beneficial for energy-constrained systems, as it enables minimum energy consumption in logic circuits during active computation [1], and reduces leakage current in components that must be constantly powered. Previous sub-Vt research, for example a 0.13μm processor with an 8b ALU and 2Kb SRAM [2], has demonstrated substantial energy savings. However, process sc...

متن کامل

Gated-diode Sense Amplifier for Robust Differential sensing in 6T SRAM

The reliable operation of SRAM in presence of process variation in sub-100nm devices is largely influenced by periphery circuits, like sense amplifiers, demanding more robust solutions. A new differential sense amplifier for use in standard 6-T SRAM based on gated-diode is proposed and designed. For comparison, three other latch-based sense amplifiers are also designed in 45nm technology. The b...

متن کامل

Ultra-low-power SRAM design in high variability advanced CMOS

Embedded SRAMs are a critical component in modern digital systems, and their role is preferentially increasing. As a result, SRAMs strongly impact the overall power, performance, and area, and, in order to manage these severely constrained trade-offs, they must be specially designed for target applications. Highly energy-constrained systems (e.g. implantable biomedical devices, multimedia hands...

متن کامل

Benchmarking of Standard-Cell Based Memories in the Sub- VT Domain in 65-nm CMOS Technology

In this paper, standard-cell based memories (SCMs) are proposed as an alternative to full-custom sub-VT SRAM macros for ultra-low-power systems requiring small memory blocks. The energy per memory access as well as the maximum achievable throughput in the sub-VT domain of various SCM architectures are evaluated by means of a gate-level sub-VT characterization model, building on data extracted f...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2007